首页 分享 verilog编程题

verilog编程题

来源:花匠小妙招 时间:2024-12-05 15:04

最新推荐文章于 2023-08-06 22:48:06 发布

mu_guang_ 于 2020-11-07 15:36:46 发布

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。

目录 题目代码DUTTB 仿真小结

题目

约翰逊(扭环形)计数器是基于移位寄存器的计数器,用N个寄存器表示2N个状态,请设计一个4位约翰逊计数器,和格雷码有点类似,相邻两个状态之间只有1bit是不同的。
在这里插入图片描述

代码

DUT

module johnson_cnt(input wire clk,input wire rst_n,output reg [3:0] out);always_ff @(posedge clk or negedge rst_n) begin : proc_outif(~rst_n) beginout <= 'd0;end else beginout <= {~out[0], out[3:1]} ;endend endmodule 123456789101112131415

TB

module tb_johnson_cnt();reg clk, rst_n;wire [3:0] out;initial beginclk = 'b0;rst_n = 'b0;# 10rst_n = 'b1;# 2000$finish;endalways #5 clk = ~clk;johnson_cnt dut(clk,rst_n,out); endmodule

1234567891011121314151617181920212223242526

仿真

在这里插入图片描述

小结

约翰逊计数器由于相邻两个状态跳转的时候只有1bit发生变化,所以译码电路简单,同时也可以减少竞争冒险现象的发生。一开始看这个题的时候,想到的是Q1-Q4这4个寄存器,也就是一列一列的看,发现Q3寄存Q4, Q2寄存Q3,Q1寄存Q2, Q4寄存~Q1,于是就想到了移位打拍,本质与这样用一个式子表示出来是一样的。

参考链接:https://wenku.baidu.com/view/0b116b23c1c708a1294a446e.html

相关知识

谈谈Verilog和SystemVerilog简史,FPGA设计是否需要学习SystemVerilog
编程题:选修课成绩统计问题
[编程题] 纸牌游戏
【fpga里Verilog语言的小知识点】
毕业设计论文Java+SSM网上花店设计.doc资源
【免费】基于Javaweb的网上花店系统的设计与实现+jsp资源
charrytree樱桃树
机器学习=编程?NO!
花器与花材
元编程艺术,第 1 部分: 元编程简介

网址: verilog编程题 https://www.huajiangbk.com/newsview893405.html

所属分类:花卉
上一篇: C Primer Plus 第四
下一篇: 数字逻辑设计基础

推荐分享